vhdl
Differences
This shows you the differences between two versions of the page.
| Both sides previous revisionPrevious revisionNext revision | Previous revision | ||
| vhdl [2025/12/13 20:19] – [NIOS] 2a00:1028:919d:bcb2:740a:d1bf:c035:85fb | vhdl [2025/12/17 21:46] (current) – [Quartus] 147.32.8.31 | ||
|---|---|---|---|
| Line 17: | Line 17: | ||
| https:// | https:// | ||
| + | ===== DE-10 Control Panel ==== | ||
| + | |||
| + | ControlPanel/ | ||
| + | |||
| + | DE10-Standard_User_manual.pfd | ||
| + | |||
| + | **Generate FPGA Configure Files: fpga.dtbo and soc_system.rbf** | ||
| + | |||
| + | < | ||
| + | dtc -O dtb -o fpga.dtbo -b 0 -@ fpga.dts | ||
| + | </ | ||
| + | |||
| + | **Apply FPGA Configure Files: fpga.dtbo and soc_system.rbf** | ||
| + | |||
| + | < | ||
| + | mount –t configfs configfs /config | ||
| + | mkdir / | ||
| + | echo fpga.dtbo > / | ||
| + | </ | ||
| + | |||
| + | |||
| + | The LED0 on the FPGA will blink | ||
| ===== DE-10 Standard ===== | ===== DE-10 Standard ===== | ||
| Line 205: | Line 227: | ||
| Initialization: | Initialization: | ||
| + | |||
| + | ==== MEMORY AVL ==== | ||
| + | |||
| + | < | ||
| + | library ieee; | ||
| + | use ieee.std_logic_1164.all; | ||
| + | use ieee.numeric_std.all; | ||
| + | |||
| + | entity DDR_Access is | ||
| + | port ( | ||
| + | clk : in std_logic; | ||
| + | reset_n | ||
| + | -- DDR memory interface signals (provided by the memory controller IP) | ||
| + | avl_address : out std_logic_vector(31 downto 0); | ||
| + | avl_read | ||
| + | avl_write | ||
| + | avl_writedata : out std_logic_vector(31 downto 0); | ||
| + | avl_readdata | ||
| + | avl_wait | ||
| + | -- Additional signals as needed | ||
| + | -- For example, a simple state machine control signals | ||
| + | start : in std_logic | ||
| + | ); | ||
| + | end entity; | ||
| + | |||
| + | architecture Behavioral of DDR_Access is | ||
| + | type state_type is (IDLE, READ, WRITE, DONE); | ||
| + | signal state : state_type := IDLE; | ||
| + | |||
| + | -- Example signals | ||
| + | signal address_reg : std_logic_vector(31 downto 0) := (others => ' | ||
| + | signal data_reg | ||
| + | signal read_req | ||
| + | signal write_req | ||
| + | |||
| + | begin | ||
| + | process(clk, | ||
| + | begin | ||
| + | if reset_n = ' | ||
| + | state <= IDLE; | ||
| + | avl_address <= (others => ' | ||
| + | avl_read <= ' | ||
| + | avl_write <= ' | ||
| + | avl_writedata <= (others => ' | ||
| + | elsif rising_edge(clk) then | ||
| + | case state is | ||
| + | when IDLE => | ||
| + | if start = ' | ||
| + | -- Initiate a read or write | ||
| + | address_reg <= x" | ||
| + | data_reg <= x" | ||
| + | avl_address <= address_reg; | ||
| + | avl_writedata <= data_reg; | ||
| + | avl_write <= ' | ||
| + | avl_read <= ' | ||
| + | state <= WRITE; | ||
| + | end if; | ||
| + | |||
| + | when WRITE => | ||
| + | if avl_wait = ' | ||
| + | avl_write <= ' | ||
| + | state <= IDLE; | ||
| + | end if; | ||
| + | |||
| + | when others => | ||
| + | null; | ||
| + | end case; | ||
| + | end if; | ||
| + | end process; | ||
| + | |||
| + | end Behavioral; | ||
| + | </ | ||
| ==== DSP ==== | ==== DSP ==== | ||
| Line 624: | Line 718: | ||
| PROCESSOR https:// | PROCESSOR https:// | ||
| - | ==== NIOS ==== | ||
| VGA https:// | VGA https:// | ||
| Line 631: | Line 724: | ||
| FPGA SDRAM Communication https:// | FPGA SDRAM Communication https:// | ||
| + | |||
| + | https:// | ||
| + | |||
| + | https:// | ||
| + | |||
| + | https:// | ||
| + | |||
| + | |||
| + | 0x40000000 https:// | ||
| + | |||
| + | |||
| + | CD https:// | ||
| + | |||
| + | https:// | ||
| + | |||
| + | https:// | ||
| + | |||
| + | https:// | ||
| + | |||
vhdl.1765657189.txt.gz · Last modified: 2025/12/13 20:19 by 2a00:1028:919d:bcb2:740a:d1bf:c035:85fb
